From 1ab449a2d9d4433794736566014f5c311d5a9317 Mon Sep 17 00:00:00 2001
From: Samo Penic <samo.penic@gmail.com>
Date: Sat, 08 Mar 2014 16:33:08 +0000
Subject: [PATCH] tape fixed, output has additional timestamp, ncurrent iteration is dumped and reread, but simulation is not restarted at correct time

---
 src/timestep.c |    2 +-
 1 files changed, 1 insertions(+), 1 deletions(-)

diff --git a/src/timestep.c b/src/timestep.c
index 8ae5a30..4ac20be 100644
--- a/src/timestep.c
+++ b/src/timestep.c
@@ -22,7 +22,7 @@
 		centermass(vesicle);
 		cell_occupation(vesicle);
 		ts_fprintf(stdout,"Done %d out of %d iterations (x %d MC sweeps).\n",i+1,inititer+iterations,mcsweeps);
-            dump_state(vesicle);
+            dump_state(vesicle,i);
 		if(i>inititer){
 			write_vertex_xml_file(vesicle,i-inititer);
 		}

--
Gitblit v1.9.3